• 全部
  • 经验案例
  • 典型配置
  • 技术公告
  • FAQ
  • 漏洞说明
  • 全部
  • 全部
  • 大数据引擎
  • 知了引擎
产品线
搜索
取消
案例类型
发布者
是否解决
是否官方
时间
搜索引擎
匹配模式
高级搜索

某局点9820-64H策略路由PBR下发失败问题

2021-12-14 发表
  • 0关注
  • 0收藏 1477浏览
粉丝:22人 关注:0人

组网及说明

不涉及


问题描述

某局点下发策略路由,在下发2/0/59端口是时候,提示资源不足not enough resources to complete the operation in slot 2

2/0/59原有配置如下:

interface HundredGigE2/0/59

port link-mode route

ip address 1.1.1.1 255.255.255.252


过程分析

1、采集诊断

2、9820acl资源是按照按端口划分的,总共分4个模块,pipe0pipe1pipe2pipe3,具体哪些端口占用的是哪个模块的,可以通过debug port mapping 看最后一列[PipeNum]是多少

===============debug port mapping slot 2=============== 

[Interface]       [Unit] [Port] [Name] [Combo?] [Active?] [IfIndex] [MID] [Link] [PipeNum]

===============================================================================

HGE2/0/1         0       34     ce16    no        no      0xc4      24    up       1  

 HGE2/0/2         0       37     ce17    no        no      0xc9      24    up       1  

 HGE2/0/3         0       38     ce18    no        no      0xca      24    up       1  

 HGE2/0/4         0       41     ce19    no        no      0xcf      24    up       1  

 HGE2/0/5         0       42     ce20    no        no      0xd0      24    up       1  

 HGE2/0/6         0       45     ce21    no        no      0xd5      24    up       1  

 HGE2/0/7         0       46     ce22    no        no      0xd6      24    up       1  

 HGE2/0/8         0       49     ce23    no        no      0xdb      24    up       1  

 HGE2/0/9         0       50     ce24    no        no      0xdc      24    up       1  

 HGE2/0/10        0       53     ce25    no        no      0xe1      24    up       1  

 HGE2/0/11        0       54     ce26    no        no      0xe2      24    up       1  

 HGE2/0/12        0       57     ce27    no        no      0xe7      24    up       1  

 HGE2/0/13        0       58     ce28    no        no      0xe8      24    up       1  

 HGE2/0/14        0       61     ce29    no        no      0xed      24    up       1  

 HGE2/0/15        0       62     ce30    no        no      0xee      24    up       1  

 HGE2/0/16        0       65     ce31    no        no      0xf3      24    up       1  

 HGE2/0/17        0       68     ce32    no        no      0xf4      24    up       2  

 HGE2/0/18        0       71     ce33    no        no      0xf9      24    up       2  

 HGE2/0/19        0       72     ce34    no        no      0xfa      24    up       2  

 HGE2/0/20        0       75     ce35    no        no      0xff      24    up       2  

 HGE2/0/21        0       76     ce36    no        no      0x100     24    up       2  

 HGE2/0/22        0       79     ce37    no        no      0x105     24    up       2  

 HGE2/0/23        0       80     ce38    no        no      0x106     24    up       2  

 HGE2/0/24        0       83     ce39    no        no      0x10b     24    up       2  

 HGE2/0/25        0       84     ce40    no        no      0x10c     24    up       2  

 HGE2/0/26        0       87     ce41    no        no      0x111     24    up       2  

 HGE2/0/27        0       88     ce42    no        no      0x112     24    up       2  

 HGE2/0/28        0       91     ce43    no        no      0x117     24    up       2  

 HGE2/0/29        0       92     ce44    no        no      0x118     24    up       2  

 HGE2/0/30        0       95     ce45    no        no      0x11d     24    up       2  

 HGE2/0/31        0       96     ce46    no        no      0x11e     24    up       2  

 HGE2/0/32        0       99     ce47    no        no      0x123     24    up       2  

 HGE2/0/33        0       1      ce0     no        no      0x124     24    up       0  

 HGE2/0/34        0       4      ce1     no        no      0x129     24    up       0  

 HGE2/0/35        0       5      ce2     no        no      0x12a     24    up       0  

 HGE2/0/36        0       8      ce3     no        no      0x12f     24    up       0  

 HGE2/0/37        0       9      ce4     no        no      0x130     24    up       0  

 HGE2/0/38        0       12     ce5     no        no      0x135     24    up       0  

 HGE2/0/39        0       13     ce6     no        no      0x136     24    up       0  

 HGE2/0/40        0       16     ce7     no        no      0x13b     24    up       0  

 HGE2/0/41        0       17     ce8     no        no      0x13c     24    up       0  

 HGE2/0/42        0       20     ce9     no        no      0x141     24    up       0  

 HGE2/0/43        0       21     ce10    no        no      0x142     24    up       0  

 HGE2/0/44        0       24     ce11    no        no      0x147     24    up       0  

 HGE2/0/45        0       25     ce12    no        no      0x148     24    up       0  

 HGE2/0/46        0       28     ce13    no        no      0x14d     24    up       0  

 HGE2/0/47        0       29     ce14    no        no      0x14e     24    up       0  

 HGE2/0/48        0       32     ce15    no        no      0x153     24    up       0  

 HGE2/0/49        0       102    ce48    no        no      0x154     24    up       3  

 HGE2/0/50        0       105    ce49    no        no      0x159     24    up       3  

 HGE2/0/51        0       106    ce50    no        no      0x15a     24    up       3  

 HGE2/0/52        0       109    ce51    no        no      0x15f     24    up       3  

 XGE2/0/53:1      0       110    xe0     no        no      0x161     24    up       3  

 XGE2/0/53:2      0       111    xe1     no        no      0x162     24    down     3  

 XGE2/0/53:3      0       112    xe2     no        no      0x163     24    down     3  

 XGE2/0/53:4      0       113    xe3     no        no      0x164     24    up       3  

 HGE2/0/55        0       114    ce52    no        no      0x166     24    up       3  

 HGE2/0/56        0       117    ce53    no        no      0x16b     24    up       3  

 HGE2/0/57        0       118    ce54    no        no      0x16c     24    up       3  

 HGE2/0/58        0       121    ce55    no        no      0x171     24    up       3  

 HGE2/0/59        0       122    ce56    no        no      0x172     24    up       3  

 HGE2/0/60        0       125    ce57    no        no      0x177     24    up       3  

 HGE2/0/61        0       126    ce58    no        no      0x178     24    up       3  

 HGE2/0/62        0       129    ce59    no        no      0x17d     24    up       3  

 HGE2/0/63        0       130    hg0     no        no      0x17e     24    up       3  

 HGE2/0/64        0       133    hg1     no        no      0x183     24    up       3  

 M-GE0/0/0        0       136            no        no      0x183     24    up       0  

 M-GE0/0/1        0       137            no        no      0x183     24    down     0  

可以看到上面按照端口划分总共划分了pipe0/1/2/3共4个模块,其中2/0/59端口所在pipe号是3

3、接下来查看debug qacl show acl-resc slot 2 chip 0,由于PBR需要占用IFP(入方向acl)资源,所以我们只要关注底层入方向资源即可

    从中我们找到IFP,pipe3,pipe3又划分为slice0-11共12个slice,其中三个为一组,0/1/2是一组,3/4/5是一组,6/7/8是一组,9/10/11是一组,只有一组内的slice才能组成double或者triple。从当前的占用情况看,pipe3已经占用了slice6/7,slice0/1/3/4,slice9/10/11。其中6/7和9/10/11都是系统下发的acl占用。0/1/3/4是 PktFilter IPV4 on RPORT   和 PktFilter RPORT DEFAULT IP,这些都是包过滤占用。

Acl Hw Resource: IFP, Pipe:3     ----------------pipe3模块

-------------------------------------------------------------------------

  Pri 121, Group  9,usedEntries 38 ,mode Double, physlice 6/7/

  =========================================

    acl type                   usedEntries[38]

  =========================================

    [23 ]RX Low                      9  

    [25 ]Super_RX Low                1  

    [92 ]DATAPROTECT                 1  

    [114]IFP LOW                     1  

    [129]MPLS Vpn High               1  

    [131]MPLS Vpn Low                23 

    [153]PDT LOW INITIAL             1  

    [308]UntrustPriority             1  

  =====================================

-------------------------------------------------------------------------

  Pri 123, Group 11,usedEntries 306,mode Double, physlice 0/1/3/4/

  =========================================

    acl type                   usedEntries[306]

  =========================================

    [127]PktFilter IPV4 on RPORT        305        ---------包过滤的acl

    [187]PktFilter RPORT DEFAULT IP        1  

  ======================================

-------------------------------------------------------------------------

  Pri 125, Group  4,usedEntries 92 ,mode Triple, physlice 9/10/11/

  =========================================

    acl type                   usedEntries[92]

  =========================================

    [8  ]RX IPv4 High                17 

    [9  ]RX IPv4 Middle High         2  

    [10 ]RX IPv4 Middle              29 

    [13 ]RX IPv6 High                12 

    [14 ]RX IPv6 Middle_High         4  

    [15 ]RX IPv6 Middle              3  

    [47 ]OAM-High                    4  

    [70 ]RX Middle Low               2  

    [87 ]STMVLAN_PERMIT              16 

    [88 ]STM_DENYALL                 2  

    [152]PDT HIGH INITIAL            1  

  ======================================

继续检查配置发现全局下发了packet-filter default deny,53-56端口也下发了包过滤

interface HundredGigE2/0/53

port link-mode bridge

port link-type trunk

packet-filter 3003 inbound


interface HundredGigE2/0/54

port link-mode bridge

port link-type trunk

packet-filter 3003 inbound


interface HundredGigE2/0/55

port link-mode bridge

port link-type trunk

packet-filter 3003 inbound


interface HundredGigE2/0/56

port link-mode bridge

port link-type trunk

packet-filter 3003 inbound

那么还剩下slice 2/5空余了,由于下发的PBRrule规则需要double模式,剩余的slice2/5无法组合成double模式,所以提示资源不足。

再来看下包过滤是如何占用0/1/3/4的:

可以通过命令display qos-acl resource advanced-mode 看下各个slice有多少资源。包过滤下发的时候先占满了slice3/4的256个资源,然后又占用了slice0/1的50个资源。

Interfaces:  HGE2/0/49  to HGE2/0/64 (slot 2)

---------------------------------------------------------------------

 Stage      Slice      Total        Configured     Remaining  Usage

---------------------------------------------------------------------

 IFP        0          256          50             206        19%

 IFP        1          256          50             206        19%

 IFP        2          512          0              512        0%

 IFP        3          256          256            0          100%

 IFP        4          256          256            0          100%

 IFP        5          512          0              512        0%

 IFP        6          256          30             226        11%

 IFP        7          256          30             226        11%

 IFP        8          512          0              512        0%

 IFP        9          256          92             164        35%

 IFP        10         256          92             164        35%

 IFP        11         256          92             164        35%

 VFP        0          256          16             240        6%

 VFP        1          256          0              256        0%

 VFP        2          256          0              256        0%

 VFP        3          256          0              256        0%

 EFP        0          256          5              251        1%

 EFP        1          256          0              256        0%

 EFP        2          256          0              256        0%

 EFP        3          256          0              256        0%


另外可以看下1口到32口的资源情况,可以看到1到32口的资源是比53到64口的资源是多是

Interfaces:  HGE2/0/1 to HGE2/0/16 (slot 2)

---------------------------------------------------------------------

 Stage      Slice      Total        Configured     Remaining  Usage

---------------------------------------------------------------------

 IFP        0          512          0              512        0%

 IFP        1          512          0              512        0%

 IFP        2          512          0              512        0%

 IFP        3          512          0              512        0%

 IFP        4          512          0              512        0%

 IFP        5          512          0              512        0%

 IFP        6          256          16             240        6%

 IFP        7          256          16             240        6%

 IFP        8          512          0              512        0%

 IFP        9          256          72             184        28%

 IFP        10         256          72             184        28%

 IFP        11         256          72             184        28%

 VFP        0          256          16             240        6%

 VFP        1          256          0              256        0%

 VFP        2          256          0              256        0%

 VFP        3          256          0              256        0%

 EFP        0          256          5              251        1%

 EFP        1          256          0              256        0%

 EFP        2          256          0              256        0%

 EFP        3          256          0              256        0%


Interfaces:  HGE2/0/17 to HGE2/0/32 (slot 2)

---------------------------------------------------------------------

 Stage      Slice      Total        Configured     Remaining  Usage

---------------------------------------------------------------------

 IFP        0          512          0              512        0%

 IFP        1          512          0              512        0%

 IFP        2          512          0              512        0%

 IFP        3          512          0              512        0%

 IFP        4          512          0              512        0%

 IFP        5          512          0              512        0%

 IFP        6          256          20             236        7%

 IFP        7          256          20             236        7%

 IFP        8          512          0              512        0%

 IFP        9          256          74             182        28%

 IFP        10         256          74             182        28%

 IFP        11         256          74             182        28%

 VFP        0          256          16             240        6%

 VFP        1          256          0              256        0%

 VFP        2          256          0              256        0%

 VFP        3          256          0              256        0%

 EFP        0          256          5              251        1%

 EFP        1          256          0              256        0%

 EFP        2          256          0              256        0%

 EFP        3          256          0              256        0%


解决方法

1、优化acl资源,使得包过滤的acl占用少于256个,这样可以释放slice0/1,释放之后就可以下发PBR了

2、如果无法优化rule规则的话,那么可以更改下端口重新规划下连接关系,使用1-32口去对接,1-32口的资源比较多


该案例对您是否有帮助:

您的评价:1

若您有关于案例的建议,请反馈:

作者在2021-12-14对此案例进行了修订
0 个评论

该案例暂时没有网友评论

编辑评论

举报

×

侵犯我的权益 >
对根叔知了社区有害的内容 >
辱骂、歧视、挑衅等(不友善)

侵犯我的权益

×

泄露了我的隐私 >
侵犯了我企业的权益 >
抄袭了我的内容 >
诽谤我 >
辱骂、歧视、挑衅等(不友善)
骚扰我

泄露了我的隐私

×

您好,当您发现根叔知了上有泄漏您隐私的内容时,您可以向根叔知了进行举报。 请您把以下内容通过邮件发送到pub.zhiliao@h3c.com 邮箱,我们会尽快处理。
  • 1. 您认为哪些内容泄露了您的隐私?(请在邮件中列出您举报的内容、链接地址,并给出简短的说明)
  • 2. 您是谁?(身份证明材料,可以是身份证或护照等证件)

侵犯了我企业的权益

×

您好,当您发现根叔知了上有关于您企业的造谣与诽谤、商业侵权等内容时,您可以向根叔知了进行举报。 请您把以下内容通过邮件发送到 pub.zhiliao@h3c.com 邮箱,我们会在审核后尽快给您答复。
  • 1. 您举报的内容是什么?(请在邮件中列出您举报的内容和链接地址)
  • 2. 您是谁?(身份证明材料,可以是身份证或护照等证件)
  • 3. 是哪家企业?(营业执照,单位登记证明等证件)
  • 4. 您与该企业的关系是?(您是企业法人或被授权人,需提供企业委托授权书)
我们认为知名企业应该坦然接受公众讨论,对于答案中不准确的部分,我们欢迎您以正式或非正式身份在根叔知了上进行澄清。

抄袭了我的内容

×

原文链接或出处

诽谤我

×

您好,当您发现根叔知了上有诽谤您的内容时,您可以向根叔知了进行举报。 请您把以下内容通过邮件发送到pub.zhiliao@h3c.com 邮箱,我们会尽快处理。
  • 1. 您举报的内容以及侵犯了您什么权益?(请在邮件中列出您举报的内容、链接地址,并给出简短的说明)
  • 2. 您是谁?(身份证明材料,可以是身份证或护照等证件)
我们认为知名企业应该坦然接受公众讨论,对于答案中不准确的部分,我们欢迎您以正式或非正式身份在根叔知了上进行澄清。

对根叔知了社区有害的内容

×

垃圾广告信息
色情、暴力、血腥等违反法律法规的内容
政治敏感
不规范转载 >
辱骂、歧视、挑衅等(不友善)
骚扰我
诱导投票

不规范转载

×

举报说明

提出建议

    +

亲~登录后才可以操作哦!

确定

亲~检测到您登陆的账号未在http://hclhub.h3c.com进行注册

注册后可访问此模块

跳转hclhub

你的邮箱还未认证,请认证邮箱或绑定手机后进行当前操作